commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r7213 - usrp2/trunk/fpga/top/u2_basic


From: matt
Subject: [Commit-gnuradio] r7213 - usrp2/trunk/fpga/top/u2_basic
Date: Mon, 17 Dec 2007 00:19:54 -0700 (MST)

Author: matt
Date: 2007-12-17 00:19:54 -0700 (Mon, 17 Dec 2007)
New Revision: 7213

Modified:
   usrp2/trunk/fpga/top/u2_basic/u2_basic.v
Log:
debug pins


Modified: usrp2/trunk/fpga/top/u2_basic/u2_basic.v
===================================================================
--- usrp2/trunk/fpga/top/u2_basic/u2_basic.v    2007-12-17 07:18:47 UTC (rev 
7212)
+++ usrp2/trunk/fpga/top/u2_basic/u2_basic.v    2007-12-17 07:19:54 UTC (rev 
7213)
@@ -432,11 +432,12 @@
 
    // /////////////////////////////////////////////////////////////////////////
    // Interrupt Controller, Slave #8
-   
+
+   wire [7:0]   irq = 
{uart_int,overrun,underrun,PHY_INTn,i2c_int,spi_int,timer_int,buffer_int};
    simple_pic simple_pic
      
(.clk_i(wb_clk),.rst_i(wb_rst),.cyc_i(s8_cyc),.stb_i(s8_stb),.adr_i(s8_adr[3:2]),
       
.we_i(s8_we),.dat_i(s8_dat_o[7:0]),.dat_o(s8_dat_i[7:0]),.ack_o(s8_ack),.int_o(proc_int),
-      
.irq({uart_int,overrun,underrun,PHY_INTn,i2c_int,spi_int,timer_int,buffer_int}) 
);
+      .irq(irq) );
    assign       s8_dat_i[31:8] = 0;
    assign       s8_err = 0;
    assign       s8_rty = 0;
@@ -512,6 +513,7 @@
       .sample(sample_rx), .run(run_rx), .strobe(strobe_rx),
       .debug_rx(debug_rx) );
    
+   // dummy_rx dsp_core_rx
    dsp_core_rx dsp_core_rx
      (.clk(dsp_clk),.rst(dsp_rst),
       .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
@@ -549,10 +551,11 @@
    // 
/////////////////////////////////////////////////////////////////////////////////////////
    // Debug Pins
 
-   assign      debug_rx_1 = 
{uart_tx_o,run_rx,strobe_rx,overrun,proc_int,buffer_int,timer_int,GMII_RX_DV,
+   assign      debug_rx_1 = 
{uart_tx_o,GMII_TX_EN,strobe_rx,overrun,proc_int,buffer_int,timer_int,GMII_RX_DV,
+                            irq,
                             GMII_RXD,
-                            adc_ovf_a_reg1, adc_ovf_b_reg1, adc_a_reg1};
-   assign      debug_rx_2 = { sample_rx[31:16], 8'd0, debug_rx[7:0] };
+                            GMII_TXD};
+   assign      debug_rx_2 = { 5'd0, s8_we, s8_stb, s8_ack, debug_rx[23:0] };
 
    assign      debug = debug_rx_2;
    assign      debug_clk[0] = wb_clk;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]