commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5917 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5917 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Thu, 5 Jul 2007 18:51:12 -0600 (MDT)

Author: matt
Date: 2007-07-05 18:51:12 -0600 (Thu, 05 Jul 2007)
New Revision: 5917

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v
Log:
shorten critical path by asserting enable even when not needed


Modified: gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v        
2007-07-06 00:49:26 UTC (rev 5916)
+++ gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v        
2007-07-06 00:51:12 UTC (rev 5917)
@@ -46,7 +46,6 @@
    
    assign    dat_to_buf = wr_dat_i;
    assign    rd_dat_o = dat_from_buf;
-   assign    en_o = rd_en | wr_en;
 
    localparam IDLE = 3'd0;
    localparam PRE_READ = 3'd1;
@@ -114,17 +113,20 @@
           
         endcase // case(state)
    
-   // FIXME read side ignores rd_done_i for now
+   // FIXME read side ignores rd_done_i and step for now
    
-   assign rd_en = (state == PRE_READ) || ((state == READING) && rd_read_i);
+   assign rd_en = (state == PRE_READ) || ((state == READING) && rd_read_i); // 
unused now
    assign rd_empty_o = (state != READING) && (state != PRE_READ);
    assign rd_ready_o = (state == READING);
-   
-   assign wr_en = (state == WRITING) && wr_write_i;  // IF this is a timing 
problem, we could always enable when in this state
+
+   assign wr_en = (state == WRITING) && wr_write_i;  // unused now IF this is 
a timing problem, we could always enable when in this state
    assign we_o = (state == WRITING) && wr_write_i;  // IF this is a timing 
problem, we could always write when in this state
    assign wr_full_o = (state != WRITING);
    assign wr_ready_o = (state == WRITING);
 
+   //assign    en_o = rd_en | wr_en;
+   assign    en_o = ~((state==READING)& ~rd_read_i);   // test faster signal
+   
    assign done = (state == IDLE);
    assign error = (state == ERROR);
 endmodule // fifo_int





reply via email to

[Prev in Thread] Current Thread [Next in Thread]