discuss-gnu-electric
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: VHDL suppport


From: Steven Rubin
Subject: Re: VHDL suppport
Date: Tue, 20 Feb 2007 17:40:44 -0800

At 12:34 PM 2/20/2007, you wrote:
I have been trying to get a simple VHDL cell to compile without success,
Is any part  of the ieee library supported?
do you have any tutorial for using VHDL in electric, or some simple
VHDL examples?

Electric does not handle "behavioral" VHDL, only "stuctural". This means that many higher-level constructs are not recognized. For an example of valid VHDL, take a look at the "ACC" (automobile cruise control) cell in the "samples" library that comes with Electric. There are no IEEE libraries that I know of.

   -Steve





reply via email to

[Prev in Thread] Current Thread [Next in Thread]