discuss-gnu-electric
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

VHDL suppport


From: Kurt Landrus
Subject: VHDL suppport
Date: Tue, 20 Feb 2007 14:34:41 -0600

I have been trying to get a simple VHDL cell to compile without success,
Is any part  of the ieee library supported?
do you have any tutorial for using VHDL in electric, or some simple VHDL examples?
thanks;
        Kurt




reply via email to

[Prev in Thread] Current Thread [Next in Thread]