commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8025 - usrp2/trunk/fpga/top/u2_basic


From: matt
Subject: [Commit-gnuradio] r8025 - usrp2/trunk/fpga/top/u2_basic
Date: Fri, 14 Mar 2008 12:29:26 -0600 (MDT)

Author: matt
Date: 2008-03-14 12:29:25 -0600 (Fri, 14 Mar 2008)
New Revision: 8025

Modified:
   usrp2/trunk/fpga/top/u2_basic/u2_basic.v
Log:
changed debug pins


Modified: usrp2/trunk/fpga/top/u2_basic/u2_basic.v
===================================================================
--- usrp2/trunk/fpga/top/u2_basic/u2_basic.v    2008-03-14 18:28:53 UTC (rev 
8024)
+++ usrp2/trunk/fpga/top/u2_basic/u2_basic.v    2008-03-14 18:29:25 UTC (rev 
8025)
@@ -568,7 +568,7 @@
       
.ser_rx_clk(ser_rx_clk),.ser_r(ser_r),.ser_rklsb(ser_rklsb),.ser_rkmsb(ser_rkmsb),
       
.wr_dat_o(wr0_dat),.wr_write_o(wr0_write),.wr_done_o(wr0_done),.wr_error_o(wr0_error),
       .wr_ready_i(wr0_ready),.wr_full_i(wr0_full),
-      .debug(debug_serdes2) );
+      .debug0(debug_serdes0), .debug1(debug_serdes1) );
 
    // 
///////////////////////////////////////////////////////////////////////////////////
    // External RAM Interface
@@ -610,6 +610,7 @@
                {8'd0},
                
{GMII_TX_EN,GMII_RX_DV,Rx_mac_empty,Rx_mac_rd,Rx_mac_err,Rx_mac_sop,Rx_mac_eop,wr2_full}
 };
 
+      /*
    assign      debug_serdes0 = { { rd0_dat[7:0] },
                                 { ser_tx_clk, ser_tkmsb, ser_tklsb, rd0_sop, 
rd0_eop, rd0_read, rd0_error, rd0_done },
                                 { ser_t[15:8] },
@@ -619,7 +620,7 @@
                                 { 1'b0, ser_rx_clk, ser_rkmsb, ser_rklsb, 
ser_enable, ser_prbsen, ser_loopen, ser_rx_en },
                                 { ser_r[15:8] },
                                 { ser_r[7:0] } };
-
+*/
    wire [31:0] debug_serdes_receiver = {uart_tx_o,debug_serdes2[30:0]};
    wire [31:0] debug_serdes_sender = { uart_tx_o, debug_serdes0[30:0]};
    wire [31:0] debug_serdes_common = debug_serdes1;
@@ -638,8 +639,8 @@
    assign      debug_clk[0] = wb_clk;
    assign      debug_clk[1] = dsp_clk; 
    
-   assign      debug = debug_serdes_common;
-   assign      debug_gpio_0 = debug_serdes_sender;
-   assign      debug_gpio_1 = debug_serdes_receiver;
+   assign      debug = debug_serdes0; //_common;
+   //assign      debug_gpio_0 = debug_serdes_sender;
+   assign      debug_gpio_1 = {uart_tx_o, debug_serdes1[30:0]};
    
 endmodule // u2_basic





reply via email to

[Prev in Thread] Current Thread [Next in Thread]