commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8009 - usrp2/trunk/fpga/serdes


From: matt
Subject: [Commit-gnuradio] r8009 - usrp2/trunk/fpga/serdes
Date: Wed, 12 Mar 2008 14:30:25 -0600 (MDT)

Author: matt
Date: 2008-03-12 14:30:25 -0600 (Wed, 12 Mar 2008)
New Revision: 8009

Modified:
   usrp2/trunk/fpga/serdes/serdes_rx.v
Log:
choose which fifo we want


Modified: usrp2/trunk/fpga/serdes/serdes_rx.v
===================================================================
--- usrp2/trunk/fpga/serdes/serdes_rx.v 2008-03-12 20:29:18 UTC (rev 8008)
+++ usrp2/trunk/fpga/serdes/serdes_rx.v 2008-03-12 20:30:25 UTC (rev 8009)
@@ -253,21 +253,32 @@
    // Internal FIFO, size 9 is 2K, size 10 is 4K Bytes
    assign write = eop_i | (error_i & ~full) | (write_d & (state != CRC_CHECK));
 
-/*   
+
+//`define CASC 1
+`define MYFIFO 1   
+//`define XILFIFO1
+
+`ifdef CASC   
    cascadefifo2 #(.WIDTH(35),.SIZE(FIFOSIZE)) serdes_rx_fifo
      (.clk(clk),.rst(rst),.clear(0),
       .datain({error_i,sop_i,eop_i,line_i}), .write(write), .full(full),
       .dataout({error_o,sop_o,eop_o,line_o}), .read(read), .empty(empty),
       .fifo_space(fifo_space) );
-*/
+`endif
+
+`ifdef MYFIFO
    wire [FIFOSIZE-1:0] level;
-/*
-    fifo_2clock #(.DWIDTH(35),.AWIDTH(FIFOSIZE)) serdes_rx_fifo
+    fifo_2clock_casc #(.DWIDTH(35),.AWIDTH(FIFOSIZE)) serdes_rx_fifo
      (.arst(rst),
       .wclk(ser_rx_clk),.datain({error_i,sop_i,eop_i,line_i}), .write(write), 
.full(full),
       .rclk(clk),.dataout({error_o,sop_o,eop_o,line_o}), .read(read), 
.empty(empty),
       .level_rclk(level) );
-*/
+   assign             fifo_space = {{(16-FIFOSIZE){1'b0}},{FIFOSIZE{1'b1}}} - 
+                      {{(16-FIFOSIZE){1'b0}},level};
+`endif
+
+`ifdef XILFIFO
+   wire [FIFOSIZE-1:0] level;
    fifo_generator_v4_1 ser_rx_fifo
      (.din({error_i,sop_i,eop_i,line_i}),
       .rd_clk(clk),
@@ -280,10 +291,11 @@
       .full(full),
       .rd_data_count(),
       .wr_data_count(level));
-   
    assign             fifo_space = {{(16-FIFOSIZE){1'b0}},{FIFOSIZE{1'b1}}} - 
                       {{(16-FIFOSIZE){1'b0}},level};
+`endif //  `ifdef XILFIFO
    
+   
    // Internal FIFO to Buffer interface
    reg                xfer_active;
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]