bug-gnu-emacs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Bug in vhdl-mode.el version 3.29 for emacs 21.2-windows.


From: Rob Kaut
Subject: Bug in vhdl-mode.el version 3.29 for emacs 21.2-windows.
Date: Fri, 28 Feb 2003 11:28:35 -0700

emacs version: 21.2 windows
vhdl-mode.el version: 3.29

In emacs 20.4 the function 'vhdl-comment-uncomment-region' works
properly in vhdl-mode, but in the 21.2 version it does not. This
seems to be due to the modification of the 'comment-region'
function from version 20.4 to 21.2. In 20.4 'comment-region' is
in simple.el and in 21.2 it seems to have moved to newcomment.el
and had its operation changed.

The fix that I have used right now is to modify the function
'vhdl-comment-uncomment-region' in my vhdl-mode.el to remove two
comment characters at the start of the line instead of one. A
diff of the original and modified would show:

7570c7570
<       (comment-region beg end -1)
--
>       (comment-region beg end -2)

Anyways, I hope this helps. If you need more info, please let me
know.

Rob Kaut

----------------------------------------------------------
Rob Kaut
Digital IC Designer

SiWorks Inc.                phone: (403) 282-1650 Ext. 224
253, 3553-31 Street NW        fax: (403) 260-8619
Calgary, Alberta,           email: rob@siworks.com
Canada T2L 2K7            website: http://www.siworks.com/
----------------------------------------------------------





reply via email to

[Prev in Thread] Current Thread [Next in Thread]