discuss-gnu-electric
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: VHDL and Electric


From: Steven Rubin
Subject: Re: VHDL and Electric
Date: Tue, 23 Mar 2010 11:07:22 -0700

At 09:58 AM 3/23/2010, you wrote:
Hi to everybody.

I am new in Electric. I am try to convert a simple vhdl code to a
netlist. For example, this one of an or gate:


library ieee;
use ieee.std_logic_1164.all;

The Electric VHDL compiler is old and handles only structural VHDL, not behavioral. I don't believe that it can handle the "use ieee.std_logic_1164.all;" statement.

Also, the more active Electric mailing list is the "Electricvlsi" list at Google.

   -Steven Rubin





reply via email to

[Prev in Thread] Current Thread [Next in Thread]