commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r10115 - gnuradio/trunk/usrp2/fpga/sdr_lib


From: matt
Subject: [Commit-gnuradio] r10115 - gnuradio/trunk/usrp2/fpga/sdr_lib
Date: Sat, 13 Dec 2008 16:29:00 -0700 (MST)

Author: matt
Date: 2008-12-13 16:28:58 -0700 (Sat, 13 Dec 2008)
New Revision: 10115

Modified:
   gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_rx.v
   gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_tx.v
Log:
reset the phase when we shut down.  Aids in sync


Modified: gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_rx.v
===================================================================
--- gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_rx.v     2008-12-11 19:01:38 UTC 
(rev 10114)
+++ gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_rx.v     2008-12-13 23:28:58 UTC 
(rev 10115)
@@ -84,7 +84,9 @@
    always @(posedge clk)
      if(rst)
        phase <= 0;
-     else if(run)
+     else if(~run)
+       phase <= 0;
+     else
        phase <= phase + phase_inc;
 
    MULT18X18S mult_i

Modified: gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_tx.v
===================================================================
--- gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_tx.v     2008-12-11 19:01:38 UTC 
(rev 10114)
+++ gnuradio/trunk/usrp2/fpga/sdr_lib/dsp_core_tx.v     2008-12-13 23:28:58 UTC 
(rev 10115)
@@ -58,11 +58,13 @@
    always @(posedge clk) strobe_hb2 <= strobe_hb2_pre;
    always @(posedge clk) strobe_cic <= strobe_cic_pre;
 
-   // DDC
+   // NCO
    always @(posedge clk)
      if(rst)
        phase <= 0;
-     else if(run)
+     else if(~run)
+       phase <= 0;
+     else
        phase <= phase + phase_inc;
    
    wire        signed [17:0] da, db;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]