commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6026 - in gnuradio/branches/developers/jcorgan/radar/


From: jcorgan
Subject: [Commit-gnuradio] r6026 - in gnuradio/branches/developers/jcorgan/radar/gr-radar-mono: . src/python
Date: Wed, 18 Jul 2007 22:37:29 -0600 (MDT)

Author: jcorgan
Date: 2007-07-18 22:37:28 -0600 (Wed, 18 Jul 2007)
New Revision: 6026

Modified:
   gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/README
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
Log:
Fixes for operation with RFX boards, misc. cleanup

Modified: gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/README
===================================================================
--- gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/README     
2007-07-19 04:33:48 UTC (rev 6025)
+++ gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/README     
2007-07-19 04:37:28 UTC (rev 6026)
@@ -9,7 +9,7 @@
 
 Only boards in slot A are supported.
 
-The script to run is place in $prefix/bin:
+The script to run is placed in $prefix/bin:
 
 Usage: usrp_radar_mono.py [options]
 
@@ -32,7 +32,7 @@
   -v, --verbose         enable verbose output, default is disabled
   -D, --debug           enable debugging output, default is disabled
 
-The transmitter creates a LFM chirp, evenly centered on the supplied frequency.
+The transmitter creates an LFM chirp, evenly centered on the supplied 
frequency.
 The four timing parameters are:
 
 ton    Chirp on time in seconds.  

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-07-19 04:33:48 UTC (rev 6025)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/radar_mono.py
   2007-07-19 04:37:28 UTC (rev 6026)
@@ -69,6 +69,8 @@
         self._u = usrp.sink_s(fpga_filename='usrp_radar_mono.rbf')
         self._subdev_spec = (0,0); # FPGA code only implements side A
         self._subdev = usrp.selected_subdev(self._u, self._subdev_spec)
+       if hasattr(self._subdev, 'set_lo_offset'):
+           self._subdev.set_lo_offset(0)
        self._ton_ticks = 0
        self._tsw_ticks = 0
        self._tlook_ticks = 0
@@ -126,8 +128,10 @@
 
     def start(self):
         self._u.start()
-
+       self._subdev.set_enable(True)
+       
     def stop(self):
+       self._subdev.set_enable(False)
        self._u.stop()
        
 #-----------------------------------------------------------------------

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
      2007-07-19 04:33:48 UTC (rev 6025)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/python/usrp_radar_mono.py
      2007-07-19 04:37:28 UTC (rev 6026)
@@ -35,7 +35,7 @@
                       help="set transmitter center frequency to FREQ in Hz, 
default is %default", metavar="FREQ")
     parser.add_option("-w", "--chirp-width", type="eng_float", default=32e6,
                       help="set LFM chirp bandwidth in Hz, default is 
%default", metavar="FREQ")
-    parser.add_option("-a", "--amplitude", type="eng_float", default=100,
+    parser.add_option("-a", "--amplitude", type="eng_float", default=15,
                       help="set waveform amplitude in % full scale, default is 
%default,")
     parser.add_option("",   "--ton", type="eng_float", default=5e-6,
                      help="set pulse on period in seconds, default is 
%default,")





reply via email to

[Prev in Thread] Current Thread [Next in Thread]