commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6005 - gnuradio/branches/developers/matt/u2f/sdr_lib


From: matt
Subject: [Commit-gnuradio] r6005 - gnuradio/branches/developers/matt/u2f/sdr_lib
Date: Tue, 17 Jul 2007 16:53:14 -0600 (MDT)

Author: matt
Date: 2007-07-17 16:53:13 -0600 (Tue, 17 Jul 2007)
New Revision: 6005

Modified:
   gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v
   gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v
Log:
enable lines, setting registers


Modified: gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v 2007-07-17 
22:52:44 UTC (rev 6004)
+++ gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_rx.v 2007-07-17 
22:53:13 UTC (rev 6005)
@@ -1,4 +1,5 @@
 
+`define DSP_CORE_RX_BASE 160
 module dsp_core_rx
   (input clk, input rst,
    input set_stb, input [7:0] set_addr, input [31:0] set_data,
@@ -23,42 +24,48 @@
 
    wire [23:0] i_decim, q_decim;
    wire [7:0]  decim_rate;
+
+   wire        run_rx;
    
-   setting_reg #(.my_addr(1)) sr_0
+   setting_reg #(.my_addr(`DSP_CORE_RX_BASE+0)) sr_0
      (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
       .in(set_data),.out(phase_inc),.changed());
    
-   setting_reg #(.my_addr(2)) sr_1
+   setting_reg #(.my_addr(`DSP_CORE_RX_BASE+1)) sr_1
      (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
       .in(set_data),.out({scale_i,scale_q}),.changed());
    
-   setting_reg #(.my_addr(3)) sr_2
+   setting_reg #(.my_addr(`DSP_CORE_RX_BASE+2)) sr_2
      (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
       .in(set_data),.out(decim_rate),.changed());
 
+   setting_reg #(.my_addr(`DSP_CORE_RX_BASE+3)) sr_3
+     (.clk(clk),.rst(rst),.strobe(set_stb),.addr(set_addr),
+      .in(set_data),.out(run_rx),.changed());
+
    always @(posedge clk)
      if(rst)
        phase <= 0;
-     else
+     else if(run_rx)
        phase <= phase + phase_inc;
 
    wire [23:0] i_bb, q_bb;
    
-   strobe_gen 
strobe_gen(.clock(clk),.reset(rst),.enable(1'b1),.rate(decim_rate),
+   strobe_gen 
strobe_gen(.clock(clk),.reset(rst),.enable(run_rx),.rate(decim_rate),
                         .strobe_in(1),.strobe(stb_decim) );
    
    cordic #(.bitwidth(24))
-     cordic(.clock(clk), .reset(rst), .enable(1'b1),
+     cordic(.clock(clk), .reset(rst), .enable(run_rx),
            .xi({adc_a,10'b0}),. yi({adc_b,10'b0}), .zi(phase[31:16]),
            .xo(i_bb),.yo(q_bb),.zo() );
 
    cic_decim #(.bw(24))
-     decim_i (.clock(clk),.reset(rst),.enable(1'b1),
+     decim_i (.clock(clk),.reset(rst),.enable(run_rx),
              .rate(decim_rate),.strobe_in(1'b1),.strobe_out(stb_decim),
              .signal_in(i_bb),.signal_out(i_decim));
    
    cic_decim #(.bw(24))
-     decim_q (.clock(clk),.reset(rst),.enable(1'b1),
+     decim_q (.clock(clk),.reset(rst),.enable(run_rx),
              .rate(decim_rate),.strobe_in(1'b1),.strobe_out(stb_decim),
              .signal_in(q_bb),.signal_out(q_decim));
    

Modified: gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v 2007-07-17 
22:52:44 UTC (rev 6004)
+++ gnuradio/branches/developers/matt/u2f/sdr_lib/dsp_core_tx.v 2007-07-17 
22:53:13 UTC (rev 6005)
@@ -77,7 +77,7 @@
                  .signal_in(q),.signal_out(q_interp));
    
    cordic #(.bitwidth(16),.zwidth(16))
-     cordic(.clock(clk), .reset(rst), .enable(1'b1),
+     cordic(.clock(clk), .reset(rst), .enable(run_tx),
            .xi(i_interp),.yi(q_interp),.zi(phase[31:16]),
            .xo(da),.yo(db),.zo() );
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]