commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r5998 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r5998 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Tue, 17 Jul 2007 11:45:47 -0600 (MDT)

Author: matt
Date: 2007-07-17 11:45:47 -0600 (Tue, 17 Jul 2007)
New Revision: 5998

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v
Log:
separated done and idle states


Modified: gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v        
2007-07-17 17:44:14 UTC (rev 5997)
+++ gnuradio/branches/developers/matt/u2f/control_lib/fifo_int.v        
2007-07-17 17:45:47 UTC (rev 5998)
@@ -69,6 +69,7 @@
    localparam READING = 3'd2;
    localparam WRITING = 3'd3;
    localparam ERROR = 3'd4;
+   localparam DONE = 3'd5;
    
    reg [2:0]  state;
    
@@ -94,6 +95,7 @@
                  addr_o <= firstline;
                  state <= WRITING;
               end
+          // FIXME what if they try to read/write when we're not in that state?
           
           PRE_READ :
             begin
@@ -108,7 +110,7 @@
                  if(rd_error_i)
                    state <= ERROR;
                  else if(addr_o == lastline + 9'd1)
-                   state <= IDLE;
+                   state <= DONE;
               end
           
           WRITING :
@@ -121,10 +123,10 @@
                       begin
                          addr_o <= addr_o + 1;
                          if(addr_o == lastline)
-                           state <= IDLE;
+                           state <= DONE;
                       end
                     if(wr_done_i)
-                      state <= IDLE;
+                      state <= DONE;
                  end // else: !if(wr_error_i)
             end // case: WRITING
           
@@ -144,6 +146,6 @@
    //assign    en_o = rd_en | wr_en;
    assign    en_o = ~((state==READING)& ~rd_read_i);   // test faster signal
    
-   assign done = (state == IDLE);
+   assign done = (state == DONE);
    assign error = (state == ERROR);
 endmodule // fifo_int





reply via email to

[Prev in Thread] Current Thread [Next in Thread]