bug-mit-scheme
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Failed to signal error upon internal definition refering to uninitialize


From: Lingyu Zhu
Subject: Failed to signal error upon internal definition refering to uninitialized variable
Date: Fri, 19 Mar 2021 11:53:57 +0800

The following coding from SICP problem 4.19,
(let ((a 1))
  (define (f x)
    (define b (+ a x))
    (define a 5)
    (+ a b))
  (f 10))

should raise an error. However branch master tip version output 16.
However v.10.1.11 signals the error as expected.



reply via email to

[Prev in Thread] Current Thread [Next in Thread]