bug-bash
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: Use high bits of the raw random number?


From: Andrew Church
Subject: Re: Use high bits of the raw random number?
Date: Thu, 16 May 2019 09:00:58 +0900

>> It seems that the high bits should be more random. If so, maybe the
>> high 16 bits should be kept if $RANDOM must stay in 16bits?
>
>This seems like something you could test and verify.

This aroused my curiosity, so I passed the generator's output through
dieharder (https://webhome.phy.duke.edu/~rgb/General/dieharder.php).
The low 16 bits do indeed have a bit of nonrandomness:

rgb_minimum_distance|   3|     10000|    1000|0.00000000|  FAILED
rgb_minimum_distance|   4|     10000|    1000|0.00000000|  FAILED
rgb_minimum_distance|   5|     10000|    1000|0.00000000|  FAILED

But the high 16 bits are much _less_ random:

   diehard_birthdays|   0|       100|     100|0.00000000|  FAILED
  diehard_rank_32x32|   0|     40000|     100|0.00000000|  FAILED
   diehard_bitstream|   0|   2097152|     100|0.00000000|  FAILED
        diehard_opso|   0|   2097152|     100|0.00000000|  FAILED
        diehard_oqso|   0|   2097152|     100|0.00000000|  FAILED
(and 84 other failures)

XORing the two halves together seems to produce the best randomness,
with no test failures reported.

I've included the test results below for reference (the "Seed" in the
output is from dieharder and is irrelevant -- the generator was seeded
with the value 1 in all cases).

  --Andrew Church
    http://achurch.org/

------------------------------------------------------------------------

$ bashrand-low16 | dieharder -a -g 200  # rseed & 65535
#=============================================================================#
#            dieharder version 3.31.1 Copyright 2003 Robert G. Brown          #
#=============================================================================#
   rng_name    |rands/second|   Seed   |
stdin_input_raw|  4.08e+07  |2254089738|
#=============================================================================#
        test_name   |ntup| tsamples |psamples|  p-value |Assessment
#=============================================================================#
   diehard_birthdays|   0|       100|     100|0.95827671|  PASSED  
      diehard_operm5|   0|   1000000|     100|0.32031682|  PASSED  
  diehard_rank_32x32|   0|     40000|     100|0.14383292|  PASSED  
    diehard_rank_6x8|   0|    100000|     100|0.43645297|  PASSED  
   diehard_bitstream|   0|   2097152|     100|0.53672081|  PASSED  
        diehard_opso|   0|   2097152|     100|0.02073757|  PASSED  
        diehard_oqso|   0|   2097152|     100|0.06693142|  PASSED  
         diehard_dna|   0|   2097152|     100|0.12452962|  PASSED  
diehard_count_1s_str|   0|    256000|     100|0.07437349|  PASSED  
diehard_count_1s_byt|   0|    256000|     100|0.28505260|  PASSED  
 diehard_parking_lot|   0|     12000|     100|0.95056725|  PASSED  
    diehard_2dsphere|   2|      8000|     100|0.97831764|  PASSED  
    diehard_3dsphere|   3|      4000|     100|0.05092918|  PASSED  
     diehard_squeeze|   0|    100000|     100|0.62056331|  PASSED  
        diehard_sums|   0|       100|     100|0.13585606|  PASSED  
        diehard_runs|   0|    100000|     100|0.09497555|  PASSED  
        diehard_runs|   0|    100000|     100|0.66208887|  PASSED  
       diehard_craps|   0|    200000|     100|0.62090323|  PASSED  
       diehard_craps|   0|    200000|     100|0.90228045|  PASSED  
 marsaglia_tsang_gcd|   0|  10000000|     100|0.99721756|   WEAK   
 marsaglia_tsang_gcd|   0|  10000000|     100|0.04085373|  PASSED  
         sts_monobit|   1|    100000|     100|0.12541846|  PASSED  
            sts_runs|   2|    100000|     100|0.31563031|  PASSED  
          sts_serial|   1|    100000|     100|0.59231033|  PASSED  
          sts_serial|   2|    100000|     100|0.22297535|  PASSED  
          sts_serial|   3|    100000|     100|0.77971916|  PASSED  
          sts_serial|   3|    100000|     100|0.12037515|  PASSED  
          sts_serial|   4|    100000|     100|0.12317986|  PASSED  
          sts_serial|   4|    100000|     100|0.72452287|  PASSED  
          sts_serial|   5|    100000|     100|0.90854869|  PASSED  
          sts_serial|   5|    100000|     100|0.44245897|  PASSED  
          sts_serial|   6|    100000|     100|0.82961023|  PASSED  
          sts_serial|   6|    100000|     100|0.88326480|  PASSED  
          sts_serial|   7|    100000|     100|0.39221601|  PASSED  
          sts_serial|   7|    100000|     100|0.63007030|  PASSED  
          sts_serial|   8|    100000|     100|0.42190640|  PASSED  
          sts_serial|   8|    100000|     100|0.52501513|  PASSED  
          sts_serial|   9|    100000|     100|0.06836624|  PASSED  
          sts_serial|   9|    100000|     100|0.14296985|  PASSED  
          sts_serial|  10|    100000|     100|0.00882735|  PASSED  
          sts_serial|  10|    100000|     100|0.38520567|  PASSED  
          sts_serial|  11|    100000|     100|0.16565879|  PASSED  
          sts_serial|  11|    100000|     100|0.86672875|  PASSED  
          sts_serial|  12|    100000|     100|0.04440109|  PASSED  
          sts_serial|  12|    100000|     100|0.65608600|  PASSED  
          sts_serial|  13|    100000|     100|0.18234053|  PASSED  
          sts_serial|  13|    100000|     100|0.85688376|  PASSED  
          sts_serial|  14|    100000|     100|0.99790890|   WEAK   
          sts_serial|  14|    100000|     100|0.35559863|  PASSED  
          sts_serial|  15|    100000|     100|0.98203338|  PASSED  
          sts_serial|  15|    100000|     100|0.79968682|  PASSED  
          sts_serial|  16|    100000|     100|0.91096875|  PASSED  
          sts_serial|  16|    100000|     100|0.88194449|  PASSED  
         rgb_bitdist|   1|    100000|     100|0.56366434|  PASSED  
         rgb_bitdist|   2|    100000|     100|0.58138491|  PASSED  
         rgb_bitdist|   3|    100000|     100|0.51558645|  PASSED  
         rgb_bitdist|   4|    100000|     100|0.78531944|  PASSED  
         rgb_bitdist|   5|    100000|     100|0.87265705|  PASSED  
         rgb_bitdist|   6|    100000|     100|0.01420703|  PASSED  
         rgb_bitdist|   7|    100000|     100|0.65698003|  PASSED  
         rgb_bitdist|   8|    100000|     100|0.86480167|  PASSED  
         rgb_bitdist|   9|    100000|     100|0.70855610|  PASSED  
         rgb_bitdist|  10|    100000|     100|0.52617343|  PASSED  
         rgb_bitdist|  11|    100000|     100|0.92228699|  PASSED  
         rgb_bitdist|  12|    100000|     100|0.80318628|  PASSED  
rgb_minimum_distance|   2|     10000|    1000|0.01423652|  PASSED  
rgb_minimum_distance|   3|     10000|    1000|0.00000000|  FAILED  
rgb_minimum_distance|   4|     10000|    1000|0.00000000|  FAILED  
rgb_minimum_distance|   5|     10000|    1000|0.00000000|  FAILED  
    rgb_permutations|   2|    100000|     100|0.86696549|  PASSED  
    rgb_permutations|   3|    100000|     100|0.13430227|  PASSED  
    rgb_permutations|   4|    100000|     100|0.93342265|  PASSED  
    rgb_permutations|   5|    100000|     100|0.76172223|  PASSED  
      rgb_lagged_sum|   0|   1000000|     100|0.16897976|  PASSED  
      rgb_lagged_sum|   1|   1000000|     100|0.78109206|  PASSED  
      rgb_lagged_sum|   2|   1000000|     100|0.94554877|  PASSED  
      rgb_lagged_sum|   3|   1000000|     100|0.87035433|  PASSED  
      rgb_lagged_sum|   4|   1000000|     100|0.18519477|  PASSED  
      rgb_lagged_sum|   5|   1000000|     100|0.23195319|  PASSED  
      rgb_lagged_sum|   6|   1000000|     100|0.62744000|  PASSED  
      rgb_lagged_sum|   7|   1000000|     100|0.69122764|  PASSED  
      rgb_lagged_sum|   8|   1000000|     100|0.63430477|  PASSED  
      rgb_lagged_sum|   9|   1000000|     100|0.06923221|  PASSED  
      rgb_lagged_sum|  10|   1000000|     100|0.08125335|  PASSED  
      rgb_lagged_sum|  11|   1000000|     100|0.87027418|  PASSED  
      rgb_lagged_sum|  12|   1000000|     100|0.69606276|  PASSED  
      rgb_lagged_sum|  13|   1000000|     100|0.74083132|  PASSED  
      rgb_lagged_sum|  14|   1000000|     100|0.84610314|  PASSED  
      rgb_lagged_sum|  15|   1000000|     100|0.99232476|  PASSED  
      rgb_lagged_sum|  16|   1000000|     100|0.66176494|  PASSED  
      rgb_lagged_sum|  17|   1000000|     100|0.71721813|  PASSED  
      rgb_lagged_sum|  18|   1000000|     100|0.52977350|  PASSED  
      rgb_lagged_sum|  19|   1000000|     100|0.90141258|  PASSED  
      rgb_lagged_sum|  20|   1000000|     100|0.87810338|  PASSED  
      rgb_lagged_sum|  21|   1000000|     100|0.03561556|  PASSED  
      rgb_lagged_sum|  22|   1000000|     100|0.73255700|  PASSED  
      rgb_lagged_sum|  23|   1000000|     100|0.86418617|  PASSED  
      rgb_lagged_sum|  24|   1000000|     100|0.66387942|  PASSED  
      rgb_lagged_sum|  25|   1000000|     100|0.84707008|  PASSED  
      rgb_lagged_sum|  26|   1000000|     100|0.72757256|  PASSED  
      rgb_lagged_sum|  27|   1000000|     100|0.85478621|  PASSED  
      rgb_lagged_sum|  28|   1000000|     100|0.67385467|  PASSED  
      rgb_lagged_sum|  29|   1000000|     100|0.06591905|  PASSED  
      rgb_lagged_sum|  30|   1000000|     100|0.90408087|  PASSED  
      rgb_lagged_sum|  31|   1000000|     100|0.27029433|  PASSED  
      rgb_lagged_sum|  32|   1000000|     100|0.71030866|  PASSED  
     rgb_kstest_test|   0|     10000|    1000|0.92441955|  PASSED  
     dab_bytedistrib|   0|  51200000|       1|0.64986358|  PASSED  
             dab_dct| 256|     50000|       1|0.26035477|  PASSED  
Preparing to run test 207.  ntuple = 0
        dab_filltree|  32|  15000000|       1|0.87783310|  PASSED  
        dab_filltree|  32|  15000000|       1|0.19068058|  PASSED  
Preparing to run test 208.  ntuple = 0
       dab_filltree2|   0|   5000000|       1|0.52951596|  PASSED  
       dab_filltree2|   1|   5000000|       1|0.72272623|  PASSED  
Preparing to run test 209.  ntuple = 0
        dab_monobit2|  12|  65000000|       1|0.57876071|  PASSED  

$ bashrand-high16 | dieharder -a -g 200  # rseed >> 16
#=============================================================================#
#            dieharder version 3.31.1 Copyright 2003 Robert G. Brown          #
#=============================================================================#
   rng_name    |rands/second|   Seed   |
stdin_input_raw|  3.95e+07  |1896130801|
#=============================================================================#
        test_name   |ntup| tsamples |psamples|  p-value |Assessment
#=============================================================================#
   diehard_birthdays|   0|       100|     100|0.00000000|  FAILED  
      diehard_operm5|   0|   1000000|     100|0.92523706|  PASSED  
  diehard_rank_32x32|   0|     40000|     100|0.00000000|  FAILED  
    diehard_rank_6x8|   0|    100000|     100|0.44886336|  PASSED  
   diehard_bitstream|   0|   2097152|     100|0.00000000|  FAILED  
        diehard_opso|   0|   2097152|     100|0.00000000|  FAILED  
        diehard_oqso|   0|   2097152|     100|0.00000000|  FAILED  
         diehard_dna|   0|   2097152|     100|0.00000000|  FAILED  
diehard_count_1s_str|   0|    256000|     100|0.00000000|  FAILED  
diehard_count_1s_byt|   0|    256000|     100|0.00000000|  FAILED  
 diehard_parking_lot|   0|     12000|     100|0.00000000|  FAILED  
    diehard_2dsphere|   2|      8000|     100|0.00000000|  FAILED  
    diehard_3dsphere|   3|      4000|     100|0.00000000|  FAILED  
     diehard_squeeze|   0|    100000|     100|0.00000000|  FAILED  
        diehard_sums|   0|       100|     100|0.00000000|  FAILED  
        diehard_runs|   0|    100000|     100|0.55264319|  PASSED  
        diehard_runs|   0|    100000|     100|0.01384362|  PASSED  
       diehard_craps|   0|    200000|     100|0.00000000|  FAILED  
       diehard_craps|   0|    200000|     100|0.00000000|  FAILED  
 marsaglia_tsang_gcd|   0|  10000000|     100|0.00000000|  FAILED  
 marsaglia_tsang_gcd|   0|  10000000|     100|0.80993197|  PASSED  
         sts_monobit|   1|    100000|     100|0.00000000|  FAILED  
            sts_runs|   2|    100000|     100|0.00000000|  FAILED  
          sts_serial|   1|    100000|     100|0.00000000|  FAILED  
          sts_serial|   2|    100000|     100|0.00000000|  FAILED  
          sts_serial|   3|    100000|     100|0.00000000|  FAILED  
          sts_serial|   3|    100000|     100|0.80204804|  PASSED  
          sts_serial|   4|    100000|     100|0.00000000|  FAILED  
          sts_serial|   4|    100000|     100|0.97025531|  PASSED  
          sts_serial|   5|    100000|     100|0.00000000|  FAILED  
          sts_serial|   5|    100000|     100|0.77133999|  PASSED  
          sts_serial|   6|    100000|     100|0.00000000|  FAILED  
          sts_serial|   6|    100000|     100|0.06869384|  PASSED  
          sts_serial|   7|    100000|     100|0.00000000|  FAILED  
          sts_serial|   7|    100000|     100|0.23557269|  PASSED  
          sts_serial|   8|    100000|     100|0.00000000|  FAILED  
          sts_serial|   8|    100000|     100|0.47529719|  PASSED  
          sts_serial|   9|    100000|     100|0.00000000|  FAILED  
          sts_serial|   9|    100000|     100|0.98177241|  PASSED  
          sts_serial|  10|    100000|     100|0.00000000|  FAILED  
          sts_serial|  10|    100000|     100|0.93218594|  PASSED  
          sts_serial|  11|    100000|     100|0.00000000|  FAILED  
          sts_serial|  11|    100000|     100|0.77024553|  PASSED  
          sts_serial|  12|    100000|     100|0.00000000|  FAILED  
          sts_serial|  12|    100000|     100|0.82110134|  PASSED  
          sts_serial|  13|    100000|     100|0.00000000|  FAILED  
          sts_serial|  13|    100000|     100|0.88460117|  PASSED  
          sts_serial|  14|    100000|     100|0.00000000|  FAILED  
          sts_serial|  14|    100000|     100|0.10589740|  PASSED  
          sts_serial|  15|    100000|     100|0.00000000|  FAILED  
          sts_serial|  15|    100000|     100|0.00790455|  PASSED  
          sts_serial|  16|    100000|     100|0.00000000|  FAILED  
          sts_serial|  16|    100000|     100|0.88297290|  PASSED  
         rgb_bitdist|   1|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   2|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   3|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   4|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   5|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   6|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   7|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   8|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|   9|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|  10|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|  11|    100000|     100|0.00000000|  FAILED  
         rgb_bitdist|  12|    100000|     100|0.00000000|  FAILED  
rgb_minimum_distance|   2|     10000|    1000|0.00000000|  FAILED  
rgb_minimum_distance|   3|     10000|    1000|0.00000000|  FAILED  
rgb_minimum_distance|   4|     10000|    1000|0.00000000|  FAILED  
rgb_minimum_distance|   5|     10000|    1000|0.00000000|  FAILED  
    rgb_permutations|   2|    100000|     100|0.84075909|  PASSED  
    rgb_permutations|   3|    100000|     100|0.51992195|  PASSED  
    rgb_permutations|   4|    100000|     100|0.07158129|  PASSED  
    rgb_permutations|   5|    100000|     100|0.86748797|  PASSED  
      rgb_lagged_sum|   0|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   1|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   2|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   3|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   4|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   5|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   6|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   7|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   8|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|   9|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  10|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  11|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  12|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  13|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  14|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  15|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  16|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  17|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  18|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  19|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  20|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  21|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  22|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  23|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  24|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  25|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  26|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  27|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  28|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  29|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  30|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  31|   1000000|     100|0.00000000|  FAILED  
      rgb_lagged_sum|  32|   1000000|     100|0.00000000|  FAILED  
     rgb_kstest_test|   0|     10000|    1000|0.00000000|  FAILED  
     dab_bytedistrib|   0|  51200000|       1|0.00000000|  FAILED  
             dab_dct| 256|     50000|       1|0.00000000|  FAILED  
Preparing to run test 207.  ntuple = 0
        dab_filltree|  32|  15000000|       1|0.19120614|  PASSED  
        dab_filltree|  32|  15000000|       1|0.77277873|  PASSED  
Preparing to run test 208.  ntuple = 0
       dab_filltree2|   0|   5000000|       1|0.00000000|  FAILED  
       dab_filltree2|   1|   5000000|       1|0.00000000|  FAILED  
Preparing to run test 209.  ntuple = 0
        dab_monobit2|  12|  65000000|       1|1.00000000|  FAILED  

$ bashrand-xor16 | dieharder -a -g 200   # (rseed >> 16) ^ (rseed & 65535)
#=============================================================================#
#            dieharder version 3.31.1 Copyright 2003 Robert G. Brown          #
#=============================================================================#
   rng_name    |rands/second|   Seed   |
stdin_input_raw|  4.20e+07  |1512453807|
#=============================================================================#
        test_name   |ntup| tsamples |psamples|  p-value |Assessment
#=============================================================================#
   diehard_birthdays|   0|       100|     100|0.09729123|  PASSED  
      diehard_operm5|   0|   1000000|     100|0.38197697|  PASSED  
  diehard_rank_32x32|   0|     40000|     100|0.20212680|  PASSED  
    diehard_rank_6x8|   0|    100000|     100|0.95898579|  PASSED  
   diehard_bitstream|   0|   2097152|     100|0.96923464|  PASSED  
        diehard_opso|   0|   2097152|     100|0.15170861|  PASSED  
        diehard_oqso|   0|   2097152|     100|0.97472697|  PASSED  
         diehard_dna|   0|   2097152|     100|0.67539491|  PASSED  
diehard_count_1s_str|   0|    256000|     100|0.01613856|  PASSED  
diehard_count_1s_byt|   0|    256000|     100|0.65909559|  PASSED  
 diehard_parking_lot|   0|     12000|     100|0.67934334|  PASSED  
    diehard_2dsphere|   2|      8000|     100|0.29719959|  PASSED  
    diehard_3dsphere|   3|      4000|     100|0.63705425|  PASSED  
     diehard_squeeze|   0|    100000|     100|0.99896693|   WEAK   
        diehard_sums|   0|       100|     100|0.03442344|  PASSED  
        diehard_runs|   0|    100000|     100|0.66597439|  PASSED  
        diehard_runs|   0|    100000|     100|0.02717086|  PASSED  
       diehard_craps|   0|    200000|     100|0.46042145|  PASSED  
       diehard_craps|   0|    200000|     100|0.48509254|  PASSED  
 marsaglia_tsang_gcd|   0|  10000000|     100|0.80865116|  PASSED  
 marsaglia_tsang_gcd|   0|  10000000|     100|0.93781094|  PASSED  
         sts_monobit|   1|    100000|     100|0.98076188|  PASSED  
            sts_runs|   2|    100000|     100|0.58786591|  PASSED  
          sts_serial|   1|    100000|     100|0.41557205|  PASSED  
          sts_serial|   2|    100000|     100|0.48943506|  PASSED  
          sts_serial|   3|    100000|     100|0.95111823|  PASSED  
          sts_serial|   3|    100000|     100|0.76353230|  PASSED  
          sts_serial|   4|    100000|     100|0.86947877|  PASSED  
          sts_serial|   4|    100000|     100|0.80934417|  PASSED  
          sts_serial|   5|    100000|     100|0.28512330|  PASSED  
          sts_serial|   5|    100000|     100|0.52821815|  PASSED  
          sts_serial|   6|    100000|     100|0.58807360|  PASSED  
          sts_serial|   6|    100000|     100|0.54799817|  PASSED  
          sts_serial|   7|    100000|     100|0.10563443|  PASSED  
          sts_serial|   7|    100000|     100|0.76002194|  PASSED  
          sts_serial|   8|    100000|     100|0.07925617|  PASSED  
          sts_serial|   8|    100000|     100|0.66784327|  PASSED  
          sts_serial|   9|    100000|     100|0.60993607|  PASSED  
          sts_serial|   9|    100000|     100|0.54268779|  PASSED  
          sts_serial|  10|    100000|     100|0.50866183|  PASSED  
          sts_serial|  10|    100000|     100|0.77674741|  PASSED  
          sts_serial|  11|    100000|     100|0.13714954|  PASSED  
          sts_serial|  11|    100000|     100|0.79012329|  PASSED  
          sts_serial|  12|    100000|     100|0.86408173|  PASSED  
          sts_serial|  12|    100000|     100|0.87294282|  PASSED  
          sts_serial|  13|    100000|     100|0.57007090|  PASSED  
          sts_serial|  13|    100000|     100|0.27525731|  PASSED  
          sts_serial|  14|    100000|     100|0.72888246|  PASSED  
          sts_serial|  14|    100000|     100|0.83421300|  PASSED  
          sts_serial|  15|    100000|     100|0.39338432|  PASSED  
          sts_serial|  15|    100000|     100|0.76034997|  PASSED  
          sts_serial|  16|    100000|     100|0.15581934|  PASSED  
          sts_serial|  16|    100000|     100|0.07014291|  PASSED  
         rgb_bitdist|   1|    100000|     100|0.15651841|  PASSED  
         rgb_bitdist|   2|    100000|     100|0.94217327|  PASSED  
         rgb_bitdist|   3|    100000|     100|0.54967481|  PASSED  
         rgb_bitdist|   4|    100000|     100|0.65062447|  PASSED  
         rgb_bitdist|   5|    100000|     100|0.31069410|  PASSED  
         rgb_bitdist|   6|    100000|     100|0.88019127|  PASSED  
         rgb_bitdist|   7|    100000|     100|0.02437630|  PASSED  
         rgb_bitdist|   8|    100000|     100|0.54584939|  PASSED  
         rgb_bitdist|   9|    100000|     100|0.70997883|  PASSED  
         rgb_bitdist|  10|    100000|     100|0.31045884|  PASSED  
         rgb_bitdist|  11|    100000|     100|0.56402437|  PASSED  
         rgb_bitdist|  12|    100000|     100|0.88285176|  PASSED  
rgb_minimum_distance|   2|     10000|    1000|0.69256268|  PASSED  
rgb_minimum_distance|   3|     10000|    1000|0.65839318|  PASSED  
rgb_minimum_distance|   4|     10000|    1000|0.04181473|  PASSED  
rgb_minimum_distance|   5|     10000|    1000|0.05777156|  PASSED  
    rgb_permutations|   2|    100000|     100|0.61359456|  PASSED  
    rgb_permutations|   3|    100000|     100|0.94349194|  PASSED  
    rgb_permutations|   4|    100000|     100|0.07397637|  PASSED  
    rgb_permutations|   5|    100000|     100|0.83229725|  PASSED  
      rgb_lagged_sum|   0|   1000000|     100|0.07157695|  PASSED  
      rgb_lagged_sum|   1|   1000000|     100|0.94024588|  PASSED  
      rgb_lagged_sum|   2|   1000000|     100|0.99817632|   WEAK   
      rgb_lagged_sum|   3|   1000000|     100|0.95615731|  PASSED  
      rgb_lagged_sum|   4|   1000000|     100|0.17566935|  PASSED  
      rgb_lagged_sum|   5|   1000000|     100|0.97836530|  PASSED  
      rgb_lagged_sum|   6|   1000000|     100|0.49968629|  PASSED  
      rgb_lagged_sum|   7|   1000000|     100|0.80714216|  PASSED  
      rgb_lagged_sum|   8|   1000000|     100|0.85322307|  PASSED  
      rgb_lagged_sum|   9|   1000000|     100|0.35965723|  PASSED  
      rgb_lagged_sum|  10|   1000000|     100|0.13805880|  PASSED  
      rgb_lagged_sum|  11|   1000000|     100|0.33237271|  PASSED  
      rgb_lagged_sum|  12|   1000000|     100|0.45995550|  PASSED  
      rgb_lagged_sum|  13|   1000000|     100|0.85408879|  PASSED  
      rgb_lagged_sum|  14|   1000000|     100|0.92014844|  PASSED  
      rgb_lagged_sum|  15|   1000000|     100|0.37108903|  PASSED  
      rgb_lagged_sum|  16|   1000000|     100|0.81593116|  PASSED  
      rgb_lagged_sum|  17|   1000000|     100|0.75445815|  PASSED  
      rgb_lagged_sum|  18|   1000000|     100|0.87499694|  PASSED  
      rgb_lagged_sum|  19|   1000000|     100|0.39085817|  PASSED  
      rgb_lagged_sum|  20|   1000000|     100|0.11315185|  PASSED  
      rgb_lagged_sum|  21|   1000000|     100|0.27794302|  PASSED  
      rgb_lagged_sum|  22|   1000000|     100|0.18837897|  PASSED  
      rgb_lagged_sum|  23|   1000000|     100|0.62823276|  PASSED  
      rgb_lagged_sum|  24|   1000000|     100|0.72966708|  PASSED  
      rgb_lagged_sum|  25|   1000000|     100|0.72388313|  PASSED  
      rgb_lagged_sum|  26|   1000000|     100|0.99605128|   WEAK   
      rgb_lagged_sum|  27|   1000000|     100|0.73695039|  PASSED  
      rgb_lagged_sum|  28|   1000000|     100|0.22254016|  PASSED  
      rgb_lagged_sum|  29|   1000000|     100|0.99738807|   WEAK   
      rgb_lagged_sum|  30|   1000000|     100|0.36612824|  PASSED  
      rgb_lagged_sum|  31|   1000000|     100|0.08277246|  PASSED  
      rgb_lagged_sum|  32|   1000000|     100|0.04691853|  PASSED  
     rgb_kstest_test|   0|     10000|    1000|0.61630394|  PASSED  
     dab_bytedistrib|   0|  51200000|       1|0.89058724|  PASSED  
             dab_dct| 256|     50000|       1|0.18413607|  PASSED  
Preparing to run test 207.  ntuple = 0
        dab_filltree|  32|  15000000|       1|0.85236676|  PASSED  
        dab_filltree|  32|  15000000|       1|0.10106441|  PASSED  
Preparing to run test 208.  ntuple = 0
       dab_filltree2|   0|   5000000|       1|0.94104487|  PASSED  
       dab_filltree2|   1|   5000000|       1|0.30081856|  PASSED  
Preparing to run test 209.  ntuple = 0
        dab_monobit2|  12|  65000000|       1|0.24054487|  PASSED  



reply via email to

[Prev in Thread] Current Thread [Next in Thread]