help-gnu-emacs
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: Custom indentation in Emacs Vhdl-mode


From: Mike Treseler
Subject: Re: Custom indentation in Emacs Vhdl-mode
Date: Fri, 26 Jan 2007 09:54:31 -0800
User-agent: Thunderbird 1.5.0.9 (X11/20060911)

HS wrote:
> My approach is a little different... I have a vhdl file template that is
> inserted when I run "Insert header", and it already contains ieee
> clauses, entity and architecture pairs, etc.

>>> Mike Treseler wrote:
>>> (defun vhdl-ieee () "Insert ieee use clauses"
>>>  (interactive)
>>>  (insert "
>>> library ieee;
>>> use ieee.std_logic_1164.all;
>>> use ieee.numeric_std.all;
>>> "))

> On 26 jan, 11:17, Martin Thompson  wrote:
>> And add this:
>> (define-key vhdl-template-map "\C-pi" 'vhdl-ieee)
>> to add it to the VHDL-template keymappings, (so you do C-c C-t C-p i
>> to insert it).  Now I need to figure out how to get it onto the
>> menu...


Thanks to HS and Martin for the vhdl-mode tips.
Looks like emacs wins round one 3 to nil :)

          -- Mike Treseler


reply via email to

[Prev in Thread] Current Thread [Next in Thread]