guix-devel
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [PATCH] utils: Allow wrap-program to be called multiple times.


From: mhw
Subject: Re: [PATCH] utils: Allow wrap-program to be called multiple times.
Date: Sun, 14 Sep 2014 00:05:29 -0400
User-agent: Gnus/5.13 (Gnus v5.13) Emacs/24.3 (gnu/linux)

Eric Bavier <address@hidden> writes:

> diff --git a/guix/build/utils.scm b/guix/build/utils.scm
> index d169053..7257b30 100644
> --- a/guix/build/utils.scm
> +++ b/guix/build/utils.scm
> @@ -687,8 +687,7 @@ known as `nuke-refs' in Nixpkgs."
>                               result))))))
>  
>  (define* (wrap-program prog #:rest vars)

[... docstring changes ...]

> +  (define (wrapper-file-name number)
> +    (format #f "~a/.~a-wrap-~2'0d" (dirname prog) (basename prog) number))

When compiling core-updates, I now see the following warning:

--8<---------------cut here---------------start------------->8---
LC_ALL=C                                                        \
./pre-inst-env                                  \
/home/mhw/.guix-profile/bin/guild compile -L "." -L "." \
  -Wformat -Wunbound-variable -Warity-mismatch                  \
  --target="i686-pc-linux-gnu"                                          \
  -o "guix/build/utils.go" "guix/build/utils.scm"
guix/build/utils.scm:718:4: warning: "~a/.~a-wrap-~2'0d": unsupported format 
option ~2, use (ice-9 format) instead
wrote `guix/build/utils.go'
--8<---------------cut here---------------end--------------->8---

Have you verified that this call to 'format' works as expected in all
the relevant cases?

      Thanks,
        Mark



reply via email to

[Prev in Thread] Current Thread [Next in Thread]