commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r8128 - usrp2/trunk/fpga/top/u2_rev2


From: matt
Subject: [Commit-gnuradio] r8128 - usrp2/trunk/fpga/top/u2_rev2
Date: Fri, 28 Mar 2008 14:45:19 -0600 (MDT)

Author: matt
Date: 2008-03-28 14:45:19 -0600 (Fri, 28 Mar 2008)
New Revision: 8128

Modified:
   usrp2/trunk/fpga/top/u2_rev2/u2_rev2.ise
   usrp2/trunk/fpga/top/u2_rev2/u2_rev2.ucf
   usrp2/trunk/fpga/top/u2_rev2/u2_rev2.v
Log:
last version for ise 9.1


Modified: usrp2/trunk/fpga/top/u2_rev2/u2_rev2.ise
===================================================================
(Binary files differ)

Modified: usrp2/trunk/fpga/top/u2_rev2/u2_rev2.ucf
===================================================================
--- usrp2/trunk/fpga/top/u2_rev2/u2_rev2.ucf    2008-03-28 20:06:41 UTC (rev 
8127)
+++ usrp2/trunk/fpga/top/u2_rev2/u2_rev2.ucf    2008-03-28 20:45:19 UTC (rev 
8128)
@@ -163,9 +163,9 @@
 NET "cpld_done"  LOC = "V12"  ; 
 NET "cpld_din"  LOC = "AA14"  ; 
 NET "cpld_clk"  LOC = "AB14"  ; 
+NET "cpld_detached"  LOC = "V11"  ;
 NET "cpld_init_b"  LOC = "W12"  ;
-NET "cpld_misc_0"  LOC = "V11"  ;
-NET "cpld_misc_1"  LOC = "Y12"  ;
+NET "cpld_misc"  LOC = "Y12"  ;
 NET "adc_a[0]"  LOC = "A14"  ;
 NET "adc_a[1]"  LOC = "B14"  ;
 NET "adc_a[2]"  LOC = "C13"  ;

Modified: usrp2/trunk/fpga/top/u2_rev2/u2_rev2.v
===================================================================
--- usrp2/trunk/fpga/top/u2_rev2/u2_rev2.v      2008-03-28 20:06:41 UTC (rev 
8127)
+++ usrp2/trunk/fpga/top/u2_rev2/u2_rev2.v      2008-03-28 20:45:19 UTC (rev 
8128)
@@ -73,9 +73,9 @@
    output cpld_done,   // V12
    input cpld_din,     // AA14 Now shared with CFG_Din
    input cpld_clk,     // AB14 serial clock
+   input cpld_detached,// V11 unused
    input cpld_init_b,  // W12 unused dual purpose
-   input cpld_misc_0,  // V11 unused
-   input cpld_misc_1,  // Y12 unused
+   input cpld_misc,  // Y12 unused
    
    // ADC
    input [13:0] adc_a,
@@ -153,7 +153,6 @@
 
    // FPGA-specific pins connections
    wire        aux_clk = PHY_CLK;
-   wire        cpld_detached = cpld_misc_0; // was SDA_force;
 
    wire        clk_fpga, dsp_clk, clk_div, dcm_out, wb_clk, clock_ready;
 
@@ -224,10 +223,8 @@
    IOBUF sda_pin(.O(sda_pad_i), .IO(SDA), .I(sda_pad_o), .T(sda_pad_oen_o));
 
    // LEDs are active low outputs
-   wire        led1_int, led2_int;
-   assign      leds[0] = ~led1_int;
-   assign      leds[1] = ~led2_int;
-   assign      leds[4:2] = 3'b111;
+   wire [4:0] leds_int;
+   assign     leds = ~leds_int;  // drive low to turn on leds
    
    // SPI
    wire        miso, mosi, sclk_int;
@@ -300,13 +297,12 @@
       .S(0)       // Synchronous preset input
       );
    */
-   u2_basic u2_basic(.dsp_clk           (dsp_clk),
+   u2_core u2_core(.dsp_clk           (dsp_clk),
                     .wb_clk            (wb_clk),
                     .clock_ready       (clock_ready),
                     .clk_to_mac        (clk_to_mac),
                     .pps_in            (pps_in),
-                    .led1              (led1_int),
-                    .led2              (led2_int),
+                    .leds              (leds_int),
                     .debug             (debug[31:0]),
                     .debug_clk         (debug_clk[1:0]),
                     .exp_pps_in        (exp_pps_in),





reply via email to

[Prev in Thread] Current Thread [Next in Thread]