commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r7209 - in gnuradio/branches/developers/gnychis/inband


From: gnychis
Subject: [Commit-gnuradio] r7209 - in gnuradio/branches/developers/gnychis/inband/usrp: fpga/inband_lib host/apps-inband host/lib/inband
Date: Sun, 16 Dec 2007 22:20:42 -0700 (MST)

Author: gnychis
Date: 2007-12-16 22:20:42 -0700 (Sun, 16 Dec 2007)
New Revision: 7209

Modified:
   
gnuradio/branches/developers/gnychis/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
   
gnuradio/branches/developers/gnychis/inband/usrp/host/apps-inband/test_usrp_inband_rx.cc
   gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_rx.cc
   
gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_usb_interface.cc
Log:
It's amazing how easy it is to fix something once you understand how it works :)

Working I&Q for a single RX channel now.

Will rebuild, check-in new RBFs, and merge in the morning when I rebuild with
two TX channels.


Modified: 
gnuradio/branches/developers/gnychis/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
===================================================================
--- 
gnuradio/branches/developers/gnychis/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
 2007-12-17 02:12:03 UTC (rev 7208)
+++ 
gnuradio/branches/developers/gnychis/inband/usrp/fpga/inband_lib/rx_buffer_inband.v
 2007-12-17 05:20:42 UTC (rev 7209)
@@ -142,7 +142,7 @@
                        store_next <= #1 4'd0;
                else if(rxstrobe & (store_next == 0))
                        store_next <= #1 4'd1;
-               else if(~rx_full & (store_next == channels))
+               else if(~rx_full & (store_next == 4'd2))
                        store_next <= #1 4'd0;
                else if(~rx_full & (store_next != 0))
                        store_next <= #1 store_next + 4'd1;
@@ -150,15 +150,8 @@
        always @*
                case(store_next)
                        4'd1 : fifodata_16 = ch_0;
-                       4'd2 : fifodata_16 = ch_0;
-                       4'd3 : fifodata_16 = ch_0;
-                       4'd4 : fifodata_16 = ch_0;
-//                     4'd5 : fifodata_16 = ch_4;
-//                     4'd6 : fifodata_16 = ch_5;
-//                     4'd7 : fifodata_16 = ch_6;
-//                     4'd8 : fifodata_16 = ch_7;
-//                     default: fifodata_16 = 16'hFFFF;
-                       default: fifodata_16 = ch_0;
+                       4'd2 : fifodata_16 = ch_1;
+                       default: fifodata_16 = 16'hFFFF;
                endcase
 
        wire [15:0] dataout [0:NUM_CHAN];
@@ -177,7 +170,7 @@
                 .clock ( rxclk ),
                 .data ( fifodata_il ),
                 .rdreq ( rdreq ),
-             .wrreq ( ~rx_full[i] & rxstrobe),
+             .wrreq ( ~rx_full[i] & (store_next != 0)),
                 .empty (empty[i]),
                 .full (rx_full[i]),
                 .q ( dataout[i]),
@@ -204,7 +197,7 @@
        assign chan_empty[NUM_CHAN] = cmd_empty | rx_WR_enabled;
        assign chan_fifodata = dataout[rd_select];
        assign chan_usedw = usedw[rd_select];
-       assign debugbus = {4'd0, rxclk, debug, store_next[3], store_next[1], 
store_next[0]};
+       assign debugbus = {4'd0, rxclk, rxstrobe, store_next[3], store_next[1], 
store_next[0]};
                             
 
 endmodule

Modified: 
gnuradio/branches/developers/gnychis/inband/usrp/host/apps-inband/test_usrp_inband_rx.cc
===================================================================
--- 
gnuradio/branches/developers/gnychis/inband/usrp/host/apps-inband/test_usrp_inband_rx.cc
    2007-12-17 02:12:03 UTC (rev 7208)
+++ 
gnuradio/branches/developers/gnychis/inband/usrp/host/apps-inband/test_usrp_inband_rx.cc
    2007-12-17 05:20:42 UTC (rev 7209)
@@ -108,7 +108,7 @@
   // Specify the RBF to use
   pmt_dict_set(usrp_dict,
                pmt_intern("rbf"),
-               pmt_intern("local_build.rbf"));
+               pmt_intern("std_inband.rbf"));
 
   pmt_dict_set(usrp_dict,
                pmt_intern("decim-rx"),

Modified: 
gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_rx.cc
===================================================================
--- gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_rx.cc 
2007-12-17 02:12:03 UTC (rev 7208)
+++ gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_rx.cc 
2007-12-17 05:20:42 UTC (rev 7209)
@@ -44,8 +44,8 @@
 
 usrp_rx::usrp_rx(mb_runtime *rt, const std::string &instance_name, pmt_t 
user_arg)
   : mb_mblock(rt, instance_name, user_arg),
-    d_disk_write(true),
-    d_disk_write_pkt(false)   // if true, writes full packet, else just the 
payload
+    d_disk_write(false),
+    d_disk_write_pkt(true)   // if true, writes full packet, else just the 
payload
 {
   d_cs = define_port("cs", "usrp-rx-cs", true, mb_port::EXTERNAL);
   

Modified: 
gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_usb_interface.cc
===================================================================
--- 
gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_usb_interface.cc
      2007-12-17 02:12:03 UTC (rev 7208)
+++ 
gnuradio/branches/developers/gnychis/inband/usrp/host/lib/inband/usrp_usb_interface.cc
      2007-12-17 05:20:42 UTC (rev 7209)
@@ -353,11 +353,11 @@
   if (verbose)
     std::cout << "[USRP_USB_INTERFACE] Setup RX channel\n";
     
-  d_utx->_write_fpga_reg(FR_DEBUG_EN,0xf);
-  d_utx->_write_oe(0, 0xffff, 0xffff);
-  d_urx->_write_oe(0, 0xffff, 0xffff);
-  d_utx->_write_oe(1, 0xffff, 0xffff);
-  d_urx->_write_oe(1, 0xffff, 0xffff);
+//  d_utx->_write_fpga_reg(FR_DEBUG_EN,0xf);
+//  d_utx->_write_oe(0, 0xffff, 0xffff);
+//  d_urx->_write_oe(0, 0xffff, 0xffff);
+//  d_utx->_write_oe(1, 0xffff, 0xffff);
+//  d_urx->_write_oe(1, 0xffff, 0xffff);
 
   d_cs->send(s_response_usrp_open, pmt_list2(invocation_handle, PMT_T));
 }





reply via email to

[Prev in Thread] Current Thread [Next in Thread]