commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6935 - gnuradio/branches/developers/matt/u2f/top/u2_s


From: matt
Subject: [Commit-gnuradio] r6935 - gnuradio/branches/developers/matt/u2f/top/u2_sim
Date: Thu, 15 Nov 2007 15:12:59 -0700 (MST)

Author: matt
Date: 2007-11-15 15:12:57 -0700 (Thu, 15 Nov 2007)
New Revision: 6935

Modified:
   gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
Log:
use clock divider of 2


Modified: gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-11-15 22:03:42 UTC (rev 6934)
+++ gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-11-15 22:12:57 UTC (rev 6935)
@@ -126,26 +126,32 @@
    initial clk_to_mac = 0;
    always #4 clk_to_mac = ~clk_to_mac;
    
-   reg                div_clk;
+   wire        div_clk;
    reg [7:0]   div_ctr = 0;
    
    assign      dsp_clk = clock_ready ? clk_fpga : aux_clk;
    assign      wb_clk = div_clk;
 
+`define CLK_DIV_2 1
+//`define CLK_DIV_3
+
+`ifdef CLK_DIV_2
    localparam  clock_divider = 2;
+   always @(posedge dsp_clk)
+     div_ctr <= div_ctr + 1;
+   assign      div_clk = div_ctr[0];
+`endif
 
+`ifdef CLK_DIV_3
+   localparam  clock_divider = 2;
    always @(posedge dsp_clk or negedge dsp_clk)
-     if(div_ctr == (2*clock_divider-1))
+     if(div_ctr == 5)
        div_ctr <= 0;
      else
        div_ctr <= div_ctr + 1;
-
-   always @*
-     if(clock_divider == 3)
-       div_clk <= (div_ctr == 0) | (div_ctr == 1) | (div_ctr == 2);
-     else
-       div_clk <= (div_ctr < clock_divider) ? 1'b1 : 1'b0;
-     
+   assign      div_clk = ((div_ctr == 0) | (div_ctr == 1) | (div_ctr == 2));
+`endif
+   
    initial
      $monitor($time, ,clock_ready);
 





reply via email to

[Prev in Thread] Current Thread [Next in Thread]