commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6918 - gnuradio/branches/developers/matt/u2f/top/u2_s


From: matt
Subject: [Commit-gnuradio] r6918 - gnuradio/branches/developers/matt/u2f/top/u2_sim
Date: Wed, 14 Nov 2007 20:53:49 -0700 (MST)

Author: matt
Date: 2007-11-14 20:53:48 -0700 (Wed, 14 Nov 2007)
New Revision: 6918

Modified:
   gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
Log:
added in eeprom


Modified: gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-11-15 03:49:17 UTC (rev 6917)
+++ gnuradio/branches/developers/matt/u2f/top/u2_sim/u2_sim_top.v       
2007-11-15 03:53:48 UTC (rev 6918)
@@ -132,7 +132,7 @@
    assign      dsp_clk = clock_ready ? clk_fpga : aux_clk;
    assign      wb_clk = div_clk;
 
-   localparam  clock_divider = 3;
+   localparam  clock_divider = 2;
 
    always @(posedge dsp_clk or negedge dsp_clk)
      if(div_ctr == (2*clock_divider-1))
@@ -179,13 +179,19 @@
       .Rx_er(GMII_RX_ER), .Rx_dv(GMII_RX_DV), .Rxd(GMII_RXD),
       .Crs(GMII_CRS), .Col(GMII_COL),
       .Speed(speed), .Done(0) );
-
+   pullup p3(MDIO);
+   
    miim_model miim_model
      (.mdc_i(MDC),.mdio(MDIO),.phy_resetn_i(PHY_RESETn),.phy_clk_i(PHY_CLK),
       .phy_intn_o(PHY_INTn),.speed_o(speed) );
    
    xlnx_glbl glbl (.GSR(),.GTS());
    
+   M24LC024B eeprom(.A0(0),.A1(0),.A2(0),.WP(0),
+                   .SDA(SDA),.SCL(SCL),.RESET(0));
+   pullup p1(SCL);
+   pullup p2(SDA);
+   
    u2_basic u2_basic(.dsp_clk          (dsp_clk),
                     .wb_clk            (wb_clk),
                     .clock_ready       (clock_ready),





reply via email to

[Prev in Thread] Current Thread [Next in Thread]