commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6804 - gnuradio/branches/developers/matt/u2f/top/u2_b


From: matt
Subject: [Commit-gnuradio] r6804 - gnuradio/branches/developers/matt/u2f/top/u2_basic
Date: Fri, 2 Nov 2007 21:28:43 -0600 (MDT)

Author: matt
Date: 2007-11-02 21:28:42 -0600 (Fri, 02 Nov 2007)
New Revision: 6804

Modified:
   gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v
Log:
changes to debug buses


Modified: gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v       
2007-11-03 03:27:58 UTC (rev 6803)
+++ gnuradio/branches/developers/matt/u2f/top/u2_basic/u2_basic.v       
2007-11-03 03:28:42 UTC (rev 6804)
@@ -518,14 +518,20 @@
    wire [31:0] debug_new = {{ram_loader_done ? {iram_rd_adr[15:0]} : 
iram_wr_adr[15:0]},
                            {3'b0,ram_loader_done,clock_ready, wb_rst, 
proc_int,timer_int},
                            {1'b0, 
GMII_TX_CLK,clk_to_mac,PHY_CLK,MDC,MDIO,PHY_INTn,PHY_RESETn} };
-   /* MAC_top.U_eth_miim.MdoEn */
    
    wire [31:0] debug_iram_dat = ram_loader_done ? iram_rd_dat : iram_wr_dat;
    
    assign      debug_wb = {m0_adr[15:0], m0_sel[3:0], m0_ack, m0_we, m0_stb, 
m0_err};
 
-   assign      debug_gmii_1 = 
{GMII_COL,GMII_CRS,GMII_RX_CLK,GMII_RX_DV,GMII_RX_ER,GMII_TX_CLK,GMII_TX_EN,GMII_TX_ER,GMII_TXD[7:0]};
-   assign      debug_gmii_2 = 
{GMII_COL,GMII_CRS,GMII_RX_CLK,GMII_RX_DV,GMII_RX_ER,GMII_TX_CLK,GMII_TX_EN,GMII_TX_ER,GMII_RXD[7:0]};
+   reg [13:0]  debug_gmii_1_reg, debug_gmii_2_reg;
+   always @(posedge GMII_GTX_CLK)
+     debug_gmii_1_reg <=  
{GMII_COL,GMII_CRS,GMII_RX_DV,GMII_RX_ER,GMII_TX_EN,GMII_TX_ER,GMII_TXD[7:0]};
+   always @(posedge GMII_RX_CLK)
+     debug_gmii_2_reg <= 
{GMII_COL,GMII_CRS,GMII_RX_DV,GMII_RX_ER,GMII_TX_EN,GMII_TX_ER,GMII_RXD[7:0]};
+
+   assign      debug_gmii_1 = {GMII_GTX_CLK,GMII_TX_CLK,debug_gmii_1_reg};
+   assign      debug_gmii_2 = {GMII_RX_CLK,1'b0,debug_gmii_2_reg};
+   
    assign      debug = debug_new;
    
    assign      debug_clk[0] = wb_clk;





reply via email to

[Prev in Thread] Current Thread [Next in Thread]