commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6768 - gnuradio/branches/developers/matt/u2f/control_


From: matt
Subject: [Commit-gnuradio] r6768 - gnuradio/branches/developers/matt/u2f/control_lib
Date: Wed, 31 Oct 2007 23:16:48 -0600 (MDT)

Author: matt
Date: 2007-10-31 23:16:47 -0600 (Wed, 31 Oct 2007)
New Revision: 6768

Modified:
   gnuradio/branches/developers/matt/u2f/control_lib/ram_loader.v
Log:
now properly handles a slow system clock


Modified: gnuradio/branches/developers/matt/u2f/control_lib/ram_loader.v
===================================================================
--- gnuradio/branches/developers/matt/u2f/control_lib/ram_loader.v      
2007-11-01 04:49:33 UTC (rev 6767)
+++ gnuradio/branches/developers/matt/u2f/control_lib/ram_loader.v      
2007-11-01 05:16:47 UTC (rev 6768)
@@ -159,11 +159,15 @@
               fsm_s <= FSM2_WE_OFF;
            end
          FSM2_WE_OFF:
-           fsm_s <= FSM2_INC_ADDR1;
+           begin
+              ram_we_s <= 1'b1;
+              fsm_s <= FSM2_INC_ADDR1;
+           end
          FSM2_INC_ADDR1:
            fsm_s <= FSM2_INC_ADDR2;
          FSM2_INC_ADDR2:
-           if(addr_q == 4095)
+           if(addr_q == 16383)
+           //if(&addr_q)
              begin
                 fsm_s <= FSM2_FINISHED;
                 done_s <= 1'b1;
@@ -187,7 +191,6 @@
    assign done_o = start_q ? done_q : 1'b1;
    wire [AWIDTH-1:0] ram_addr = addr_q;
    wire [7:0] ram_data = ser_dat_q;
-   assign ram_we = ram_we_q;
    assign ram_loader_done_o = (fsm_q == FSM2_FINISHED);
    
    // wishbone master, only writes
@@ -204,7 +207,7 @@
          wb_sel_o <= 4'b0000;
          wb_we_o <= 1'b0;
        end
-     else if(ram_we)
+     else if(ram_we_q)
        begin
          dat_holder <= ram_data;
          wb_adr_o <= ram_addr;
@@ -215,7 +218,7 @@
            2'b10 : wb_sel_o <= 4'b0010;
            2'b11 : wb_sel_o <= 4'b0001;
          endcase // case(ram_addr[1:0])
-       end // if (ram_we)
+       end // if (ram_we_q)
      else if(wb_ack_i)
        wb_we_o <= 1'b0;
       





reply via email to

[Prev in Thread] Current Thread [Next in Thread]