commit-gnuradio
[Top][All Lists]
Advanced

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[Commit-gnuradio] r6116 - in gnuradio/branches/developers/jcorgan/radar/


From: jcorgan
Subject: [Commit-gnuradio] r6116 - in gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga: lib top
Date: Sat, 4 Aug 2007 12:52:08 -0600 (MDT)

Author: jcorgan
Date: 2007-08-04 12:52:08 -0600 (Sat, 04 Aug 2007)
New Revision: 6116

Modified:
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/fifo32_4k.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf
   
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
Log:
Work in progress implementing receiver burst FIFO.  Still debugging.

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/fifo32_4k.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/fifo32_4k.v
   2007-08-04 18:50:52 UTC (rev 6115)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/fifo32_4k.v
   2007-08-04 18:52:08 UTC (rev 6116)
@@ -156,6 +156,6 @@
 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k.bsf FALSE
 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_inst.v FALSE
 // Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_bb.v FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_waveforms.html FALSE
-// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_wave*.jpg FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_waveforms.html TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo32_4k_wave*.jpg TRUE
 // Retrieval info: LIB_FILE: altera_mf

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
    2007-08-04 18:50:52 UTC (rev 6115)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/lib/radar_rx.v
    2007-08-04 18:52:08 UTC (rev 6116)
@@ -61,49 +61,53 @@
                  .empty(fifo_empty) );
 
    `define ST_RD_IDLE     4'b0001
-   `define ST_RD_FIFO     4'b0010
-   `define ST_WR_I        4'b0100
-   `define ST_WR_Q        4'b1000
+   `define ST_RD_REQ      4'b0010
+   `define ST_WR_FIFO     4'b0100
+   `define ST_RD_DELAY    4'b1000
 
-   reg [3:0]   state;
+   reg [3:0] state;
+   reg [3:0] delay;
    
    always @(posedge clk_i)
      if (rst_i | ~ena_i)
        begin
          state <= `ST_RD_IDLE;
+         delay <= 4'd0;
          rx_strobe_o <= 1'b0;
          fifo_read <= 1'b0;
        end
      else
        case (state)
         `ST_RD_IDLE:
-          if (!fifo_empty)
-            begin
-               state <= `ST_RD_FIFO;
-               fifo_read <= 1'b1;
-            end
+          begin
+             if (!fifo_empty)
+               begin
+                  fifo_read <= 1'b1;
+                  state <= `ST_RD_REQ;
+               end
+          end
 
-        `ST_RD_FIFO:
+        `ST_RD_REQ:
           begin
-             state <= `ST_WR_I;
              fifo_read <= 1'b0;
              rx_strobe_o <= 1'b1;
+             state <= `ST_WR_FIFO;
           end
 
-        `ST_WR_I:
+        `ST_WR_FIFO:
           begin
-             state <= `ST_WR_Q;
              rx_strobe_o <= 1'b0;
+             state <= `ST_RD_DELAY;
           end
 
-        `ST_WR_Q:
-          if (!fifo_empty)
+        `ST_RD_DELAY:
+          if (delay == 7)
             begin
-               state <= `ST_RD_FIFO;
-               fifo_read <= 1'b1;
+               delay <= 0;
+               state <= `ST_RD_IDLE;
             end
           else
-            state <= `ST_RD_IDLE;
+            delay <= delay + 1'b1;
 
        endcase // case(state)
    

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.rbf
===================================================================
(Binary files differ)

Modified: 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
===================================================================
--- 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
   2007-08-04 18:50:52 UTC (rev 6115)
+++ 
gnuradio/branches/developers/jcorgan/radar/gr-radar-mono/src/fpga/top/usrp_radar_mono.srf
   2007-08-04 18:52:08 UTC (rev 6116)
@@ -62,3 +62,4 @@
 { "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 12 atr_delay.v(58) 
" "Warning (10230): Verilog HDL assignment warning at atr_delay.v(58): 
truncated value with size 32 to match size of target (12)" {  } { { 
"../../../../usrp/fpga/sdr_lib/atr_delay.v" "" { Text 
"H:/gnuradio/radar/usrp/fpga/sdr_lib/atr_delay.v" 58 0 0 } }  } 0 10230 
"Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to 
match size of target (%2!d!)" 1 0 "" 0}
 { "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 12 atr_delay.v(71) 
" "Warning (10230): Verilog HDL assignment warning at atr_delay.v(71): 
truncated value with size 32 to match size of target (12)" {  } { { 
"../../../../usrp/fpga/sdr_lib/atr_delay.v" "" { Text 
"H:/gnuradio/radar/usrp/fpga/sdr_lib/atr_delay.v" 71 0 0 } }  } 0 10230 
"Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to 
match size of target (%2!d!)" 1 0 "" 0}
 { "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "write_done 
serial_io.v(48) " "Warning (10036): Verilog HDL or VHDL warning at 
serial_io.v(48): object \"write_done\" assigned a value but never read" {  } { 
{ "../../../../usrp/fpga/sdr_lib/serial_io.v" "" { Text 
"H:/gnuradio/radar/usrp/fpga/sdr_lib/serial_io.v" 48 0 0 } }  } 0 10036 
"Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but 
never read" 1 0 "" 0}
+{ "Warning" "WCDB_SGATE_CDB_WARN_TRIVIAL_REG" 
"radar:radar_mono\|radar_tx:transmitter\|cordic_nco:nco\|cordic:tx_cordic\|y0\[2\]
 data_in GND " "Warning: Reduced register 
\"radar:radar_mono\|radar_tx:transmitter\|cordic_nco:nco\|cordic:tx_cordic\|y0\[2\]\"
 with stuck data_in port to stuck value GND" {  } { { 
"../../../../usrp/fpga/sdr_lib/cordic.v" "" { Text 
"H:/gnuradio/radar/usrp/fpga/sdr_lib/cordic.v" 64 -1 0 } }  } 0 0 "Reduced 
register \"%1!s!\" with stuck %2!s! port to stuck value %3!s!" 1 0 "" 0}





reply via email to

[Prev in Thread] Current Thread [Next in Thread]